当前位置:当前位置:首页 >探索 >背面供电:台积电被将了一军 正文

背面供电:台积电被将了一军

[探索] 时间:2024-05-04 12:09:05 来源:蓝影头条 作者:综合 点击:91次
集微网报道 在先进工艺量产的背面道路上台积电、三星、供电英特尔可谓你追我赶,台积但不得不说台积电总是电被棋高一着。

但世上怎会有常胜将军?这不,将军依靠背面供电BSPDN技术,背面英特尔率先走在了前列,供电宣称要在明年量产,台积这或比台积电提前两年。电被台积电计划在2026年推出N2P工艺,将军采用背面供电技术,背面而三星也将在2nm工艺采用BSPDN技术。供电

将三大巨头的台积争斗放在时间轴来看,除英特尔2012年在22nm率先引入FinFET;2015年三星跳过20nm率先量产14nm FinFET、电被3nm抢先发布之外,将军鲜有台积电被对手反超两年之久。而一直在积蓄力量期望在2nm时代重塑“FinFET时刻”荣光的英特尔,能否凭借背面供电再现辉煌,而台积电真的会被将一军吗?

背面供电成必然?

悄然兴起的背面供电越来越被视为持续开发更精细工艺节点的基本技术。

这或是大势所趋。随着越来越多的使用场景,包括AI、GPU等都需要尺寸更小、密度更高、性能更强的晶体管来满足不断增长的算力需求。而传统晶体管架构中的电源线和信号线一直都在“抢占”晶圆内的同一块空间,据不完全统计电源线占据芯片20%的空间而背面供电通过将原先和晶体管一同排布的供电线路直接转移到晶体管的背面重新排布,不仅可节省空间,还将大幅提高芯片性能和能

一位业内人士告知集微网,背面供电技术是一种可用于调节芯片功耗和效能的技术。它通过改变芯片背面的电位,控制晶体管闸极-源极电压,进而影响晶体管的阈值电压和电流。当芯片处于低功耗模式时,使用背面供电技术可以降低晶体管的阈值电压,从而降低晶体管的漏电流,进而减少功耗。当芯片处于高性能模式时,使用背面供电技术可以增加晶体管的阈值电压,从而提高晶体管的速度和效能。

随着工艺进入2nm,最大变化是使用更大NA的光刻机,采用背面供电是水到渠成的事可以说,背面供电对大算力芯片的晶体管微缩而言至关重要,可使设计公司在不牺牲资源的同时提高晶体管密度,进而显著地提高性能和能效”上述人士总结道。

在2023年VLSI研讨会上,英特尔就展示了制造和测试其背面供电解决方案PowerVia的过程,并公布了测试结果:标准单元利用率都超过90%,电压降低了30%,并实现了6%的频率增益,同时单元密度也大幅增加,并有望降低成本。

综合已发布的信息,BSPDN与FSPDN前端供电网络相比,整体性能提高了44%,能效提高了30%。

英特尔和三星都曾强调BSPDN的重要性,即背部供电将成未来芯片的标配,也是先进工艺的关键突破。其地位相当于2003年90nm节点的应变硅技术、2007年45nm节点的铪基高K金属栅极、2012年22nm节点的FinFET技术。

更重要的是,这或只是起跳的开始。业内专家许然(化名)指出,背面供电除了能一定程度提高晶体管密度和信噪比之外,主要还是把供电和信号分上下两面做通道意义在于配合之后的chip level bonding从未来工艺发展来看,更多的功能或被推到背面,进一步释放设计的潜力甚至有望采用BSPDN推动3D IC 的发展新的未使用的金属HBM或其他芯片堆叠在一起这将引发新的先进封装革命。

无论是立足于2nm的争霸,还是未来3D IC的布局,三大巨头都已着眼于背面供电排兵布阵。

英特尔的挑战

而从目前各企业进展和投入力度来看,大胆押注的英特尔正在领衔出击。

其在第二季度宣布率先在产品级测试芯片上已实现PowerVia,并计划在2024年采用PowerVia在Intel 20A和18A工艺上线并大规模量产。而第一个使用该技术推出的处理器将是英特尔的Arrow Lake架构,这将是构建在20A节点上的下一代酷睿产品。

作为大幅领先竞争对手的背面供电解决方案,PowerVia将让包含英特尔代工服务(IFS)客户在内的芯片设计公司突破日益严重的互连瓶颈,更快地实现产品能效和性能的提升。

尽管从FSPDN到BSPDN的转变优势明显,但BSPDN的实现伴随着额外的技术复杂性还要解决涉及EDA、设备、工艺等诸多挑战。

许然解读说,首先,EDA和调试工具需重头设计,英特尔要创建自己的设计技术协同优化(DTCO)工具,优化新的EDA流程;其次,背面供电在晶圆正面搭建好逻辑层和信号布线,然后翻转晶圆,再搭建电源层。这要求增加和优化晶圆减薄、CMP、测步骤,加大了制造成本最后,背面供电需在晶圆背面添加一个反型掺杂层,由于背面电极和正面电路之间存在物理和电学障碍,因此必须进行详细的物理和电学模拟和设计。此外,还需要提升信号和电力线连接的纳米硅穿孔(TSV)和散热等能力。

英特尔技术开发副总裁Ben Sell也曾表示,背部供电极大改变了晶圆加工工艺,必须找到高效的方法,以便保证可靠性,提升产量。

因而,孤军奋战的英特尔既需要大胆推进,更需要步步为营。

看似英特尔是有备而来。据悉,英特尔开发了新的热管理方式,以避免过热问题的出现,同时,调试团队也开发了新技术,确保这一新的晶体管设计结构在调试中出现的各类问题都能得以适当解决。据悉PowerVia在测试中还达到了相当高的良率和可靠性指标,证明了这一技术的预期价值。

竞合之势

如果说每一代工艺有每一代的“绝活”,那么无疑背面供电技术将是影响2nm对决之势的一大因素。

关键的问题是,PowerVia会是英特尔在2nm领域反超的王牌吗?

前文提到,台积电插入BSPDN最晚可能是在2026年发生,而英特尔计划在2024年就量产,如此英特尔拥有领先两年的优势,可能会在2nm及未来的3D IC中占据先机,甚至创造重新夺回领先地位的机遇要知道,一般工艺两年会升级一个世代,对先进工艺来说,两年的时间确实可以改写江湖。

在台积电2023年技术研讨会上,其透露N2P制程将通过背面供电技术减少IR Drop和改善信号,将性能提高10%-12%,并将逻辑面积减少10%-15%。但关于如何实施背面供电网络技术,台积电并没有过多透露。但台积电曾经介绍过3D IC封装技术SoIC,这是他们实现背面供电的一个很重要的前提准备。

有分析师对此认为,至于背面供电技术,其实取决于各家晶圆厂的技术规划目前来看,三方在材料键合方式结深都不太一样。英特尔已经投入相当长的时间研究与发展,而台积电未来也将通过与客户的合作来推进这方面的技术三星也在持续加码,未来走势如何要看这两年英特尔能将优势提升到何种程度,并获得多少客户的订单。

除在2nm GAA技术领域三大巨头要同台竞技之外,BSPDN战局的发展也将深刻影响2nm及1nm时代的格局。有消息称,英特尔最早可能在2024年超越三星成为代工榜眼,三星期望2030年超过台积电成为新的龙头,豪情和野心交织背后,BSPDN将是谁的“王炸”?

(责任编辑:时尚)

    相关内容
    精彩推荐
    热门点击
    友情链接